2D Parity Product Code for TSV online fault correction and detection

Khanh N. Dang, Akram Ben Ahmed, Abderazek Ben Abdallah, Michael Corad Meyer, Xuan-Tu Tran

Abstract


Through-Silicon-Via (TSV) is one of the most promising technologies to realize 3D Integrated Circuits (3D-ICs).  However, the reliability issues due to the low yield rates and the sensitivity to thermal hotspots and stress issues are preventing TSV-based 3D-ICs from being widely and efficiently used. To enhance the reliability of TSV connections, using error correction code to detect and correct faults automatically has been demonstrated as a viable solution.
This paper presents a 2D Parity Product Code (2D-PPC) for TSV fault-tolerance with the ability to correct one fault and detect, at least, two faults.  In an implementation of 64-bit data and 81-bit codeword, 2D-PPC can detect over 71 faults, on average. Its encoder and decoder decrease the overall latency by 38.33% when compared to the Single Error Correction Double Error Detection code.  In addition to the high detection rates, the encoder can detect 100% of its gate failures, and the decoder can detect and correct around 40% of its individual gate failures. The squared 2D-PPC could be extended using orthogonal Latin square to support extra bit correction.


Full Text:

PDF

References


J. Cho et al., “Modeling and analysis of through-silicon via (TSV) noise

coupling and suppression using a guard ring,” IEEE Trans. Compon.

Packag. Manuf. Technol., vol. 1, no. 2, pp. 220–233, 2011.

J. Kim et al., “High-frequency scalable electrical model and analysis

of a through silicon via (TSV),” IEEE Trans. Compon. Packag. Manuf.

Technol., vol. 1, no. 2, pp. 181–195, 2011.

X. Dong and Y. Xie, “System-level cost analysis and design exploration

for three-dimensional integrated circuits (3D ICs),” in Proc. of the 2009

Asia and South Pacific Des. Automation Conf., 2009, pp. 234–241.

W. R. Davis et al., “Demystifying 3D ICs: The pros and cons of going

vertical,” IEEE Des. Test. Comput., vol. 22, no. 6, pp. 498–510, 2005.

J. U. Knickerbocker et al., “Three-dimensional silicon integration,” IBM

Journal of Research and Development, vol. 52, no. 6, pp. 553–569, 2008.

U. Kang et al., “8 Gb 3-D DDR3 DRAM using through-silicon-via

technology,” IEEE J. Solid-State Circuits, vol. 45, no. 1, pp. 111–119,

G. Van der Plas et al., “Design issues and considerations for low-cost

-D TSV IC technology,” IEEE J. Solid-State Circuits, vol. 46, no. 1,

pp. 293–307, 2011.

F. Ye and K. Chakrabarty, “TSV open defects in 3D integrated circuits:

Characterization, test, and optimal spare allocation,” in Proc. of the 49th

Annu. Des. Automation Conf. ACM, 2012, pp. 1024–1030.

L. Jiang et al., “On effective through-silicon via repair for 3-D-stacked

ICs,” IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 32,

no. 4, pp. 559–571, 2013.

R. Kumar and S. P. Khatri, “Crosstalk avoidance codes for 3D VLSI,”

in Automation and Test in Europe. EDA Consortium, 2013, pp. 1673–

A. Eghbal et al., “Analytical fault tolerance assessment and metrics for

TSV-based 3D network-on-chip,” IEEE Trans. Comput., vol. 64, no. 12,

pp. 3591–3604, 2015.

Y. J. Park et al., “Thermal analysis for 3D multi-core processors with

dynamic frequency scaling,” in 2010 IEEE/ACIS 9th Int. Conf. on

Comput. and Inform. Sci. (ICIS). IEEE, 2010, pp. 69–74.

M. Cho et al., “Design method and test structure to characterize and

repair TSV defect induced signal degradation in 3D system,” in Proc.

Int. Conf. on Comput.-Aided Des., 2010, pp. 694–697.

R. W. Hamming, “Error detecting and error correcting codes,” Bell Labs

Tech. J., vol. 29, no. 2, pp. 147–160, 1950.

M.-Y. Hsiao, “A class of optimal minimum odd-weight-column SECDED

codes,” IBM J. Res. Dev., vol. 14, no. 4, pp. 395–401, 1970.

B. Fu and P. Ampadu, “On hamming product codes with type-ii hybrid

ARQ for on-chip interconnects,” IEEE Trans. Circuits Syst. I, vol. 56,

no. 9, pp. 2042–2054, 2009.

A. B. Ahmed and A. B. Abdallah, “Architecture and design of highthroughput,

low-latency, and fault-tolerant routing algorithm for 3Dnetwork-

on-chip (3D-NoC),” The Journal of Supercomputing, vol. 66,

no. 3, pp. 1507–1532, 2013.

——, “Adaptive fault-tolerant architecture and routing algorithm for reliable

many-core 3D-NoC systems,” Journal of Parallel and Distributed

Computing, vol. 93, pp. 30–43, 2016.

K. N. Dang et al., “Scalable design methodology and online algorithm

for TSV-cluster defects recovery in highly reliable 3D-NoC systems,”

IEEE Trans. Emerg. Topics Comput., in press.

Y. Lou et al., “Comparing through-silicon-via (TSV) void/pinhole defect

self-test methods,” Journal of Electronic Testing, vol. 28, no. 1, pp. 27–

, 2012.

M. Tsai et al., “Through silicon via (TSV) defect/pinhole self test circuit

for 3D-IC,” in 2009. 3DIC 2009. IEEE Int. Conf. on. IEEE, 2009, pp.

–8.

B. Noia et al., “Pre-bond probing of TSVs in 3D stacked ICs,” in 2011

IEEE Int. Test Conf. (ITC). IEEE, 2011, pp. 1–10.

P.-Y. Chen et al., “On-chip TSV testing for 3D IC before bonding using

sense amplification,” in 2009. ATS’09. Asian Test Symp. IEEE, 2009,

pp. 450–455.

M. Hsiao, D. Bossen, and R. Chien, “Orthogonal latin square codes,”

IBM Journal of Research and Development, vol. 14, no. 4, pp. 390–394,

Y. Zhao et al., “Online Fault Tolerance Technique for TSV-Based 3-DIC,”

IEEE Trans. VLSI Syst., vol. 23, no. 8, pp. 1567–1571, 2015.

A. Dutta and N. A. Touba, “Multiple bit upset tolerant memory using a

selective cycle avoidance based SEC-DED-DAEC code,” in 25th IEEE

VLSI Test Symp. IEEE, 2007, pp. 349–354.

L.-J. Saiz-Adalid et al., “MCU tolerance in SRAMs through lowredundancy

triple adjacent error correction,” IEEE Trans. VLSI Syst.,

vol. 23, no. 10, pp. 2332–2336, 2015.

S. B. Wicker and V. K. Bhargava, Reed-Solomon codes and their

applications. John Wiley & Sons, 1999.

I. S. Reed and X. Chen, Error-control coding for data networks.

Springer Science & Business Media, 2012, vol. 508.

R. M. Pyndiah, “Near-optimum decoding of product codes: Block turbo

codes,” IEEE Trans. Commun., vol. 46, no. 8, pp. 1003–1010, 1998.

F. Chiaraluce and R. Garello, “Extended Hamming product codes

analytical performance evaluation for low error rate applications,” IEEE

Trans. Wireless Commun., vol. 3, no. 6, pp. 2353–2361, 2004.

J. F. Ziegler and W. A. Lanford, “Effect of cosmic rays on computer

memories,” Science, vol. 206, no. 4420, pp. 776–788, 1979.

T. C. May and M. H. Woods, “A new physical mechanism for soft errors

in dynamic memories,” in 16th Annu. Rel. Physics Symp. IEEE, 1978,

pp. 33–40.

J. Sosnowski, “Transient fault tolerance in digital systems,” IEEE Micro,

vol. 14, no. 1, pp. 24–35, 1994.

K. Chakrabarty et al., “TSV defects and TSV-induced circuit failures:

The third dimension in test and design-for-test,” in 2012 IEEE Int. Rel.

Physics Symp. (IRPS). IEEE, 2012, pp. 5F–1.

K. A. Bowman et al., “Energy-efficient and metastability-immune resilient

circuits for dynamic variation tolerance,” IEEE Journal of Solid-

State Circuits, vol. 44, no. 1, pp. 49–63, 2009.

S. E. Lee, Y. S. Yang, G. S. Choi, W. Wu, and R. Iyer, “Low-power,

resilient interconnection with orthogonal latin squares,” IEEE Design &

Test of Computers, vol. 28, no. 2, pp. 30–39, 2011.

N. De Simone, “OpenCores: Yet another hamming encoder and decoder,”

https://opencores.org/project,yahamm, Dec 2010, accessed: 2018

Feb.01.

K. N. Dang et al., “A comprehensive reliability assessment of faultresilient

network-on-chip using analytical model,” IEEE Trans. VLSI

Syst., vol. 25, no. 11, pp. 3099–3112, Nov 2017.




DOI: http://dx.doi.org/10.21553/rev-jec.242

Copyright (c) 2020 REV Journal on Electronics and Communications


Copyright © 2011-2024
Radio and Electronics Association of Vietnam
All rights reserved